A répondu
How to extract timeseries datasets from Matlab Workspace to 'Matlab function' block in SImulink?
*From Workspace* (Simin) block directly accepts the time series as input data. Just replace "simin" with your timeseries vari...

presque 6 ans il y a | 0

A répondu
Simulink turn off data logging
Disable all logging at once % Find all ports with data logging enabled pH = find_system('YourModelName', 'FindAll', 'on'...

presque 6 ans il y a | 3

| A accepté

A répondu
How can I synchronize a For-Loop in Matlab function with a subsystem in the same simulink model ?
Make Vi as array or vector and collect the value from each iteration Vi tlen = size(t,1); Vi = zeros(tlen, 1); for j=...

presque 6 ans il y a | 0

A répondu
How do I compare a current discrete value of a signal with a previous discrete value?
In Simulink you can use <https://in.mathworks.com/help/simulink/slref/unitdelay.html Unit delay> block to extract previous step ...

presque 6 ans il y a | 0

A répondu
What is the name of Bus Element inport blocks?
You can do following to see the name and path of any block 1. Open Simulink library model (not library browser) using command...

presque 6 ans il y a | 0

| A accepté

A répondu
How to filter a certain range of values in a column vector of thousand rows
PP = (0:100)'; filtered_PP_Idx = find((PP>=73 & PP<=80)); filtered_PP = PP(PP>=70 & PP<=80);

presque 6 ans il y a | 1

| A accepté

A répondu
Quick Question: Writing equation with mod function
z = mod((x + y), 26);

presque 6 ans il y a | 0

A répondu
convert matlab variables to simulink parameters
Write a script to collect the name, data type etc of all your workspace variable and make a loop to create Simulink.Parameter va...

presque 6 ans il y a | 1

| A accepté

A répondu
confuse with the 'String' and 'Value' when I use the GUIDE to app designer migration tool
_*String*_ is the visiable name/lable of the control. For example Button lable , Checkbox name lable etc. _*Value*_ is the...

presque 6 ans il y a | 0

| A accepté

A répondu
Accessing data dictionary from Simulink model InitFcn callback
Simulink do not saves data dictionary parameters into base workspace. To access Data Dictionary parameters you need to use A...

presque 6 ans il y a | 1

| A accepté

A répondu
How can I take value from a display?
There are lots of material and examples online about this. <https://in.mathworks.com/matlabcentral/fileexchange/24294-simulin...

presque 6 ans il y a | 0

A répondu
How do I find all the blocks connected to a start block in simulink using matlab code?
portCon = get_param(youBlockHandle, 'PortConnectivity');

presque 6 ans il y a | 0

| A accepté

A répondu
Is there any way to access the text of a Simulink DocBlock ?
% Find docblocks in model dBlkH = find_system('MyModel', 'BlockType', 'SubSystem', 'Mask', 'on', 'MaskType', 'DocBlock'...

presque 6 ans il y a | 0

| A accepté

A répondu
Matlab Functiion Block in Simulink.
Simulink logs the latest value at the end of sample time. To log the each value in loop iteration, you can create array signal ...

presque 6 ans il y a | 1

| A accepté

A répondu
Simulink Stop Simulation... find out which one stops the simulation
You can log the Input signals of the *Stop Simulation* block to base workspace. After simulation is stopped, analyzed the logge...

presque 6 ans il y a | 0

A répondu
How to copy level 1 inport and outport blocks to top most parent level
You can use following function. It works for *inport block*. It routes the inport block from a subsystem to route level. You c...

presque 6 ans il y a | 1

| A accepté

A répondu
Matlab function calls in State chart during the 'exit' and 'transition' are not working
There are lots of option for debugging the stateflow chart. 1. You can monitor activity of a super state and chech how states...

presque 6 ans il y a | 0

| A accepté

A répondu
Gain and Multiply block not multplying vectors
You need to change to configure your block properly. --> For *Constant* block: Remove _Interpret vector parameters as 1-D_...

presque 6 ans il y a | 0

Question


Generated code file name Suffixed with 0
I am not having good time with 8.x Matlab release :( *Tons of problem.* One of the problem is, when I generated code from ...

plus de 8 ans il y a | 2 réponses | 0

2

réponses

Question


Error in Simulink.defineIntEnumType
Hi While executing below function from enum definition with Matlab R2013B 64 Bit(Win7 64 bit): Simulink.defineIntEnumTyp...

plus de 8 ans il y a | 1 réponse | 1

1

réponse

A répondu
simple 16bit signal arithmetic problem
Addition and Subtraction blocks in simulink performs _*integer* addition and subtraction_, not bitwise. What is your expecta...

environ 9 ans il y a | 0

| A accepté

A répondu
about "MATLAB function" block in simulink
Matlab function block support native Matlab code function. Only thing is, all Matlab functions are not supported for code genera...

environ 9 ans il y a | 0

A répondu
Problem with the Output during Code Generation (Maximum Variable Size Allowed by Function is Exceeded)
<http://www.mathworks.com/support/bugreports/300319 Mathworks support link> Line 39 where error occurred is not visible in sc...

environ 9 ans il y a | 0

A répondu
step by step simulation in command line for simulink model
<http://in.mathworks.com/help/simulink/ug/starting-the-simulink-debugger.html Start the Simulink Debugger> <http://in.mathwor...

environ 9 ans il y a | 0

A répondu
Main script: Choose between multiple functions
function MyMainFcn() while(1) FcnSel= uint8(input('Which function to run-->')); switch FcnSel ...

environ 9 ans il y a | 0

| A accepté

A répondu
How to prevent a background subsystem from opening
Just close the subsystem as soon as they open from "OpenFun" callback of subsystem. Goto *Block Properies >> Callbacks >> OpenF...

environ 9 ans il y a | 1

| A accepté

A répondu
Simulink coder and disabled subsystems
Check if Optimization > Inline Parameters option is selected. If this option is not selected, then Simulink will as...

environ 9 ans il y a | 0

A répondu
add From-Block Script
BlkH = add_block('Simulink/Signal Routing/From','Test_System'/Tag1'); set_param(BlkH, 'GotoTag', 'house');

environ 9 ans il y a | 1

| A accepté

A répondu
problem with signal dimension in If-else block
Use <http://in.mathworks.com/help/simulink/slref/demux.html Demux block> to extract the array elements from output of AND block ...

environ 9 ans il y a | 0

Charger plus