How to implement Matlab Mfile code onto FPGA using Xilinx System Generator

2 vues (au cours des 30 derniers jours)
Desta Edosa
Desta Edosa le 18 Juil 2011
Dear,
I need to implement (Down load) some code written in Matlab (Mfile) onto Spartan-3E FPGA using Xiling System Generator Tool. I tried to use System generator Mcode block set to synthesize my Mfile code. But I got error. Can you help me how to implement this project, please?
Thanks,
Desta
  1 commentaire
Kaustubha Govind
Kaustubha Govind le 19 Juil 2011
You might have better luck contacting Xilinx Tech Support about this.

Connectez-vous pour commenter.

Réponses (1)

Veera Kanmani
Veera Kanmani le 20 Avr 2018
https://www.mathworks.com/tagteam/74244_92077v00_Xilinx_WhitePaper_final.pdf

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by