Effacer les filtres
Effacer les filtres

How to design PI controllers within Maltab?

2 vues (au cours des 30 derniers jours)
Kyle Ramphal
Kyle Ramphal le 19 Avr 2022
Commenté : Kyle Ramphal le 20 Avr 2022

Réponse acceptée

Sam Chak
Sam Chak le 20 Avr 2022
If the result from the PID Tuner app can be admitted as the design solution, then just do so to directly obtain and .
Otherwise, you may have to design the PI controller manually, by finding the Characteristic Equation.
For example, since the PI controller is given by , can you compute the Closed-loop system for us to see?
%% Simulation
s = tf('s');
Gp = 1/(0.52*s + 1)
Gc = 131/25 + (468/25)/s
Gcl = feedback(Gp*Gc, 1);
Gcl = minreal(Gcl)
figure(1)
step(Gcl, 3) % step response for 3 seconds
figure(2)
rlocus(Gcl) % root locus to evaluate the stability
Results:
  1 commentaire
Kyle Ramphal
Kyle Ramphal le 20 Avr 2022
Thank you for your response and yes the result from the PID tuner can be addmitted.

Connectez-vous pour commenter.

Plus de réponses (0)

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by