Effacer les filtres
Effacer les filtres

Info

Cette question est clôturée. Rouvrir pour modifier ou répondre.

Black box in system generator

2 vues (au cours des 30 derniers jours)
Sandeep Kumar
Sandeep Kumar le 19 Nov 2015
Clôturé : Sabin le 2 Fév 2023
im implementing an "and" gate in system generator using black box, i have coded the "and" gate in vhdl, i have called the block in black box , my a,b,c are all "std_logic" only, i have given fix_1_0 as gateway input to the black box with constant simulink block, but im nt able to simulate the logic in simulator, its nt showing any simulation error, nothing ,kindly help me !!!

Réponses (0)

Cette question est clôturée.

Produits

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by