Effacer les filtres
Effacer les filtres

Script editor is not returning to the next line intelligently after pressing enter!

17 vues (au cours des 30 derniers jours)
Ahmad Abuaish
Ahmad Abuaish le 19 Juil 2021
Commenté : Ahmad Abuaish le 19 Juil 2021
I really like the built-in MATLAB editor.
I think I might have pressed some sort of shortcut on the keyboard that disabled a smart feature in the editor.
You know when you press enter to go to the next line, the cursor goes to the correct position, i.e., indented properly in an if an statement of a for-loop, for example. I am not sure what I did. But now the cursor falls all the way to the left after pressing enter, just like a plane editor.
Does anyone know to reenable the smart-auto indentation feature? I looked at the preferences-editor menu, but no luck.
Many thanks, and I look forward to hearing from you guys.

Réponses (1)

Sameer Pujari
Sameer Pujari le 19 Juil 2021
You might have disabled smart indenting checkbox.
Try this
  1 commentaire
Ahmad Abuaish
Ahmad Abuaish le 19 Juil 2021
Thanks for the prompt response.
I checked the setting, and it was the same as your; yet the problem presisted.
I restarted MATLAB, and it worked fine after.
Thanks again.

Connectez-vous pour commenter.

Catégories

En savoir plus sur Debugging and Analysis dans Help Center et File Exchange

Produits


Version

R2020b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by