Community Profile

photo

Conglin Pan


Last seen: presque 2 ans il y a Actif depuis 2022

Statistiques

Content Feed

Afficher par

Question


Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Veril...

environ 2 ans il y a | 1 réponse | 0

1

réponse