Blocks for HDL Code generation

5 vues (au cours des 30 derniers jours)
SUHANYA M S
SUHANYA M S le 29 Nov 2022
What are the toolboxes in Simulink that can be used to generate HDL netlist?
What is the difference between DSP System Toolbox HDL Support, Embedded Coder, HDL Coder, HDL Verifier, Mixed Signal Blockset and Xilinx Toolbox (on installing System Generator)? Which of these blocks can be used to generate HDL netlist for target FPGA in Vivado?
I've gone through the document https://in.mathworks.com/help/hdlcoder/ug/using-xilinx-system-generator-for-dsp-with-hdl-coder.html , but I couldn't open the example file mentioned witht he following message: "Block diagram 'hdlcoder_slsysgen' is not loaded."
Please help!

Réponse acceptée

Bharath Venkataraman
Bharath Venkataraman le 1 Déc 2022
If you type hdllib on at the MATLAB command line, the Simulink library browser will show you the list of blocks supported for HDL code generation. These are selective blocks in Simulink, DSP System Toolbox (called DSP System Toolbox HDL Support), etc. Type hdllib off to get the library browser to show all the Simulink blocks. You can generate HDL for these blocks and use the HDL to target Xilinx devices.
System Generator is an AMD-Xilinx product which allows you to target Xilinx devices using those blocks.
  2 commentaires
SUHANYA M S
SUHANYA M S le 1 Déc 2022
On using the command, the following toolboxes are listed:
I'm using System Generator's Xilinx toolbox for block-based design for a target FPGA. I would like to know if it would be simpler to use blocks from HDL Coder for the design, in comparison. There was a video by MATLAB demonstrating how designs using HDL Coder could be added to a Xilinx Blackbox for HDL generation by System Generator.
Also, which netlist is more resource efficient - the ones generated from HDL Coder or System Generator? Since there's an option here, I would like to go for the one which involves less rigour and also more efficient.
Bharath Venkataraman
Bharath Venkataraman le 1 Déc 2022
I don't think there is an easy or clear answer to this question. My suggestion would be to try all 3 workflows (HDL Coder, System Generator, both) to see which is more to your liking. I would start with a simple example that you can run through the entire workflow.

Connectez-vous pour commenter.

Plus de réponses (0)

Catégories

En savoir plus sur HDL Code Generation dans Help Center et File Exchange

Produits


Version

R2020a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by