Effacer les filtres
Effacer les filtres

Two PWM different duty cyle

3 vues (au cours des 30 derniers jours)
Baha Zahedah
Baha Zahedah le 21 Juin 2021
Hallo,
How can i control the Pulse width of signal.
What i want is just when i have Signal or Data (e.g. 1 or 0) i make the first periode with 60% Duty cycle and the nächste peridoe with 40%. and when i dont have signal it should stay at 50%
Thanks

Réponses (1)

Amrtanshu Raj
Amrtanshu Raj le 25 Juin 2021
Hi,
You can get the desired output by using a pwm block in simulink and switch the input to it with a switch block.
An example model has been attached for reference.
Hope this helps !!

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by